|HOME |ABOUT |ARTICLES |ACK |FEEDBACK |TOC |LINKS |BLOG |JOBS |


Tutorials



COVERAGE OPTIONS



Options control the behavior of the covergroup, coverpoint, and cross.
There are two types of options:

those that are specific to an instance of a covergroup and
those that specify an option for the covergroup type as a whole.



Weight



Syntax : weight= number
default value: 1

Description :
If set at the covergroup syntactic level, it specifies the weight of this covergroup instance for computing the overall instance coverage of the simulation. If set at the coverpoint (or cross) syntactic level, it specifies the weight of a coverpoint (or cross) for computing the instance coverage of the enclosing covergroup. The specified weight shall be a non-negative integral value.



Goal



Syntax :goal=number
default value: 100

Description :
Specifies the target goal for a covergroup instance or for a coverpoint or a cross of an instance.



Name



Syntax :name=string
default value:unique name

Description :
Specifies a name for the covergroup instance. If unspecified, a unique name for each instance is automatically generated by the tool.



Comment



Syntax :comment=string
default value: ""

Description :
A comment that appears with a covergroup instance or with a coverpoint or cross of the covergroup instance. The comment is saved in the coverage database and included in the coverage report.



At_least



Syntax :at_least=number
default value: 1

Description :
Minimum number of hits for each bin. A bin with a hit count that is less than number is not considered covered.



Detect_overlap



Syntax :detect_overlap=Boolean
default value: 0

Description :
When true, a warning is issued if there is an overlap between the range list (or transition list) of two bins of a coverpoint.



Auto_bin_max



Syntax :auto_bin_max=number
default value: 64

Description :
Maximum number of automatically created bins when no bins are explicitly defined for a coverpoint.



Cross_num_print_missing



Syntax :cross_num_print_missing=number
default value: 0

Description :
Number of missing (not covered) cross product bins that shall be saved to the coverage database and printed in the coverage report.



Per_instance



Syntax :per_instance=Boolean
default value: 0

Description :
Each instance contributes to the overall coverage information for the covergroup type. When true, coverage information for this covergroup instance shall be saved in the coverage database and included in the coverage report. When false, implementations are not required to save instance-specific information.



Get_inst_coverage



Syntax :get_inst_coverage=Boolean
default value: 0

Description :
Only applies when the merge_instances type option is set . Enables the tracking of per instance coverage with the get_inst_coverage built-in method. When false, the value returned by get_inst_coverage shall equal the value returned by get_coverage


Following Table summarizes the syntactical level (covergroup, coverpoint, or cross) in which type options can be specified.



Index
Introduction
Cover Group
Sample
Cover Points
Coverpoint Expression
Generic Coverage Groups
Coverage Bins
Explicit Bin Creation
Transition Bins
Wildcard Bins
Ignore Bins
Illegal Bins
Cross Coverage
Coverage Options
Coverage Methods
System Tasks
Cover Property

Report a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!





<< PREVIOUS PAGE

TOP

NEXT PAGE >>

copyright © 2007-2017 :: all rights reserved www.testbench.in::Disclaimer