|HOME |ABOUT |ARTICLES |ACK |FEEDBACK |TOC |LINKS |BLOG |JOBS |


Tutorials



COVERPOINT EXPRESSION


Coverpoint Expression




A coverage point can be an integral variable or an integral Expression.
SystemVerilog allows specifying the cover points in various ways.

1)Using XMR


Example:
Cover_xmr : coverpoint top.DUT.Submodule.bus_address;


2)Part select


Example:
Cover_part: coverpoint bus_address[31:2];


3)Expression


Example:
Cocver_exp: coverpoint (a*b);


4)Function return value


Example:
Cover_fun: coverpoint funcation_call();


5)Ref variable


Example:
covergroup (ref int r_v) cg;
cover_ref: coverpoint r_v;
endgroup



Coverage Filter



The expression within the iff construct specifies an optional condition that disables coverage for that cover point. If the guard expression evaluates to false at a sampling point, the coverage point is ignored.

For example:


covergroup cg;
coverpoint cp_varib iff(!reset); // filter condition
endgroup



In the preceding example, cover point varible "cp_varib" is covered only if the value reset is low.


Index
Introduction
Cover Group
Sample
Cover Points
Coverpoint Expression
Generic Coverage Groups
Coverage Bins
Explicit Bin Creation
Transition Bins
Wildcard Bins
Ignore Bins
Illegal Bins
Cross Coverage
Coverage Options
Coverage Methods
System Tasks
Cover Property

Report a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!





<< PREVIOUS PAGE

TOP

NEXT PAGE >>

copyright © 2007-2017 :: all rights reserved www.testbench.in::Disclaimer