|HOME |ABOUT |ARTICLES |ACK |FEEDBACK |TOC |LINKS |BLOG |JOBS |


Tutorials



SAMPLE



Coverage should be triggered to sample the coverage values. Sampling can be done using

Any event expression -edge, variable
End-point of a sequence
Event can be omitted
Calling sample() method.



covergroup cg @(posedge clk);
...
...
...
endgroup



The above example defines a covergroup named "cg". This covergroup will be automatically sampled each time there is a posedge on "clk" signal.



covergroup cg;
...
...
...
endgroup

cg cg_inst = new;

initial // or task or function or always block
begin
...
...
cg_inst.sample();
...
...
end



Sampling can also be done by calling explicitly calling .sample() method in procedural code. This is used when coverage sampling is required based on some calculations rather than events.


Index
Introduction
Cover Group
Sample
Cover Points
Coverpoint Expression
Generic Coverage Groups
Coverage Bins
Explicit Bin Creation
Transition Bins
Wildcard Bins
Ignore Bins
Illegal Bins
Cross Coverage
Coverage Options
Coverage Methods
System Tasks
Cover Property

Report a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!





<< PREVIOUS PAGE

TOP

NEXT PAGE >>

copyright © 2007-2017 :: all rights reserved www.testbench.in::Disclaimer