Code Browser Pages:
Files in
vmm_test.tar



Current file: main_testcase.sv
README.txt
testcase_1.sv
testcase_2.sv
testcase_3.sv



////////////////////////////////////////////////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////s           www.testbench.in           s////
////s                                      s////
////s             VMM Tutorial             s////
////s                                      s////
////s           gopi@testbench.in          s////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////////////////////////////////////////////////


`include "vmm.sv"
program main();

  `include "testcase_1.sv"
  `include "testcase_2.sv"
  `include "testcase_3.sv"

   vmm_env env;

   initial
   begin
   $display(" START OF TEST CASE ");
   env = new();
   vmm_test_registry::run(env);
   $display(" START OF TEST CASE ");
   end


endprogram