Code Browser Pages:
Files in
uvm_sequence_9.tar



driver.sv
Makefile
README.txt
sequence_item.sv
sequencer.sv
Current file: sequence.sv
testcase.sv



////////////////////////////////////////////////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////s           www.testbench.in           s////
////s                                      s////
////s             UVM Tutorial             s////
////s           gopi@testbenh.in           s////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////////////////////////////////////////////////

class seq_mul extends uvm_sequence #(instruction);

  rand integer num_inst ;
  instruction req;

  constraint num_c { num_inst inside { 3,5,7 }; };

    `uvm_sequence_utils_begin(seq_mul,instruction_sequencer)
    `uvm_field_int(num_inst, UVM_ALL_ON)
    `uvm_sequence_utils_end

  function new(string name="seq_mul");
    super.new(name);
  endfunction


  virtual task body();
      uvm_report_info(get_full_name(),$psprintf("Num of transactions 0",num_inst),UVM_LOW);
      repeat(num_inst) begin
         `uvm_do_with(req, { inst == MUL; });
      end
  endtask

endclass