Code Browser Pages:
Files in
uvm_reporting.tar



Makefile
README.txt
Current file: reporting.sv



////////////////////////////////////////////////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////s           www.testbench.in           s////
////s                                      s////
////s              UVM Tutorial            s////
////s                                      s////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////////////////////////////////////////////////

 `include "uvm.svh"
 import uvm_pkg::*;

class rpting extends uvm_component;

  `uvm_component_utils(rpting)

  function new(string name,uvm_component parent);
    super.new(name, parent);
  endfunction

  task run();
    uvm_report_info(get_full_name(),"Info Message : Verbo lvl - UVM_NONE  ",UVM_NONE,`__FILE__,`__LINE__);
    uvm_report_info(get_full_name(),"Info Message : Verbo lvl - UVM_LOW   ",UVM_LOW);
    uvm_report_info(get_full_name(),"Info Message : Verbo lvl - 150       ",150);
    uvm_report_info(get_full_name(),"Info Message : Verbo lvl - UVM_MEDIUM",UVM_MEDIUM);
    uvm_report_warning(get_full_name(),"Warning Messgae from rpting",UVM_LOW);
    uvm_report_error(get_full_name(),"Error Message from rpting \n\n",UVM_LOW);
  endtask

endclass

module top;

 rpting rpt1;
 rpting rpt2;
 rpting rpt3;

 initial begin
   rpt1 = new("rpt1",null);
   rpt2 = new("rpt2",null);
   rpt3 = new("rpt3",null);

   rpt1.set_report_verbosity_level(UVM_MEDIUM);
   rpt2.set_report_verbosity_level(UVM_LOW);
   rpt3.set_report_verbosity_level(UVM_NONE);
   run_test();


 end
endmodule