Code Browser Pages:
Files in
uvm_callback_1.tar



driver.sv
Makefile
README.txt
Current file: test1.sv



`include "uvm.svh"
import uvm_pkg::*;

`include "driver.sv"

module test;

Driver drvr;

initial begin
  drvr = new("drvr");
  run_test();
end

endmodule