Code Browser Pages:
Files in
ovm_switch_5.tar



Configuration.sv
Current file: Environment.sv
interface.sv
Packet.sv
README.txt
rtl.sv
Sequencer.sv
Sequence.sv
test.sv
top.sv



////////////////////////////////////////////////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////s           www.testbench.in           s////
////s                                      s////
////s              OVM Tutorial            s////
////s                                      s////
////s            gopi@testbench.in          s////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
//////////////////////////////////////////////// 
`ifndef GUARD_ENV
`define GUARD_ENV


class Environment extends ovm_env;

    `ovm_component_utils(Environment)

    function new(string name , ovm_component parent = null);
        super.new(name, parent);
    endfunction: new


    function void build();
        super.build();

        ovm_report_info(get_full_name(),"START of build ",OVM_LOW);

        ovm_report_info(get_full_name(),"END of build ",OVM_LOW);

    endfunction

    function void connect();
        super.connect();
        ovm_report_info(get_full_name(),"START of connect ",OVM_LOW);

        ovm_report_info(get_full_name(),"END of connect ",OVM_LOW);
    endfunction


endclass : Environment

`endif