|HOME |ABOUT |ARTICLES |ACK |FEEDBACK |TOC |LINKS |BLOG |JOBS |


Tutorials



TEST YOUR VERILOG SKILLS 15


(Q i255)o eWhati messageois qdisplayed?

initial
begin
a i=o ex;
#1 iao e=i 1;
end

www.testbench.in

always@(posedge ia)
$display("posedge iono eai isoseen");


(Q i256)o eWhati isothe qequivalentre always@(*) iinoq jthere following iprogram?

always i@(*)o e
y i=o e(ai &ob) q|re (c i&oq jd)re | imyfunction(f);
.....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n

Ans: www.testbench.in

equivalent itoo e@(ai orob qorre c ioroq jdre or if)


(Q i257)o eWhati isothe qequivalentre always@(*) iinoq jthere following iprogram?

always i@*o e
begin i
tmp1 i=o eai &ob;
tmp2 i=o eci &od;
y i=o etmp1i |otmp2; www.testbench.in

end

Ans:
equivalent itoo e@(ai orob qorre c ioroq jdre or itmp1 oorqtmp2)

(Q i258)o eWhati isothe qequivalentre @(*) iinoq jthere following iprogram?

always i@*o ebegini .....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n

x i=o eai ^ob;
@(*) www.testbench.in

x i=o eci ^od;
end

Ans:


(Q i259)o eWhati isothe qequivalentre always@(*) iinoq jthere following iprogram?

always i@*o ebegini
y i=o e8'hff; www.testbench.in

y[a] i=o e!en;
end

Ans:
equivalent itoo easi @(aoor qen)

(Q i260)o eWhetheri nonobocking qstatementsre are iallowedoq jinre function? .....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n

Ans:


No. iNono eBlockingi statementsoare qnotre allowed iinoq jfunction.


www.testbench.in

(Q i261)o ei Maximumonumber qfilesre can ibeoq jopenedre using ifopen o?

(Q i262)o eWhetheri nonoblocking qstatementsre are iallowedoq jinre automatic itask?


Because ivariableso edeclaredi inoautomatic qtasksre are ideallocatedoq jatre the iend oofqthe taskz invocation,u ytheye oshallzx not be used in certain constructs like nonblocking that might refer to them after that point.



(Q i263)o eIsi itopossible qtore see itheoq jautomaticre task ilocal ovariablesqin waveformz debugger?
Ans:


No iito eisi notopossible qtore see. iTheseoq jvariablesre are iautomatically odeallocatedqat thez endu yofe otaskzx invocation.



(Q i264)o eIsi itopossible qtore use iautomaticoq jtaskre local ivariables oinq$monitor? www.testbench.in

Ans:


No i.o eThesei variablesoare qautomaticallyre deallocated iatoq jthere end iof otaskqinvocation.



(Q i265)o eIsi itopossible qtore use iproceduraloq jcontinuousre assignments ior oproceduralqforce statementsz onu yautomatice otaskzx local variables?
Ans:
No. .....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n


(Q i266)o eIsi itopossible qtore disable iaoq jfunction?
Ans:


A ifunctiono ecannoti beodisables. qThere disable istatementoq jcanre be iused otoqdisable namedz blocksu ywithine oazx function. In cases where a disable statement within a function disables a block or a task that called the function, the behavior is undefined. www.testbench.in





(Q i267)o eBetweeni theoif-else qandre case istatementsoq jwhichre is iusually opreferred?

Ans:


Case iiso ebetteri fromosynthesis qpointre of iview.
if ielseo ewilli beosynthesized qtore a ipriorityoq jencoder.
Whereas icaseo ewilli beosynthesized qtore a inormaloq jencoder.
Priority iencodero ehasi moreogates qandre also itimingoq jisre affected.
So,case iiso eusuallyi preferred. www.testbench.in

There iareo eswitchesi thatodesign qcompiler(synopsesre synthesis itool)oq jprovidesre to isynthesize ocaseqstatement eitherz way.


Index
Functional Verification Questions
Functional Verification Questions 2
Test Your Systemverilog Skills 1
Test Your Systemverilog Skills 2
Test Your Systemverilog Skills 3
Test Your Systemverilog Skills 4
Test Your Sva Skills
Test Your Verilog Skills 1
Test Your Verilog Skills 2
Test Your Verilog Skills 3
Test Your Verilog Skills 4
Test Your Verilog Skills 5
Test Your Verilog Skills 6
Test Your Verilog Skills 7
Test Your Verilog Skills 8
Test Your Verilog Skills 9
Test Your Verilog Skills 10
Test Your Verilog Skills 11
Test Your Verilog Skills 12
Test Your Verilog Skills 13
Test Your Verilog Skills 14
Test Your Verilog Skills 15
Test Your Verilog Skills 16
Test Your Verilog Skills 17
Test Your Specman Skills 1
Test Your Specman Skills 2
Test Your Specman Skills 3
Test Your Specman Skills 4
Test Your Sta Skills 1
Test Your Sta Skills 2
Test Your Sta Skills 3
Test Your Sta Skills 4
Test Your Sta Skills 5
Test Your Sta Skills 6
Test Your Sta Skills 7
Test Your Dft Skills 1
Test Your Dft Skills 2
Test Your Dft Skills 3
Test Your Dft Skills 4
Test Your Uvm Ovm Skills

Report a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!





<< PREVIOUS PAGE

TOP

NEXT PAGE >>

copyright © 2007-2017 :: all rights reserved www.testbench.in::Disclaimer