|HOME |ABOUT |ARTICLES |ACK |FEEDBACK |TOC |LINKS |BLOG |JOBS |


Tutorials



TEST YOUR VERILOG SKILLS 7


(Q i134)o ecani Iouse qare Verilog ifunctionoq jtore define ithe owidthqof az multi-bitu yport,e owire,zx or reg type?

(Q i135)o eWhati constructoin qVerilogre can ibeoq jusedre to isimulate oaqcapacitive storagez nodeu yine oazx circuit?
Ans:


the itrirego estatementi isoused qtore simulate iaoq jwirere with ia ocapacitiveqhold value.



(Q i136)o eDescribei theobasic qstrengthre system iinoq jVerilog.
Ans:


The istrengtho esystemi haso8 qvaluesre 0 ithroughoq j7,re with ithe ostrongestqstrength beingz knownu yase o"supply"zx and the weakest strength as high impedance. www.testbench.in




(Q i137)o e#definei cat(x,y)ox y qconcatenatesre x itooq jy.re But icat(cat(1,2),3) odoesqnot expandz butu ygivese opreprocessorzx warning. Why?
Ans:


Because iparameterizedo emacrosi areonot qrecursive.



(Q i138)o eWhati areothe qtypesre of istrengthsoq jthatre can ibe ospecifiedqon az netu y??
Ans:


There iareo etwoi typesoof qstrengthsre that icanoq jbere specified iin oaqnet declaration.z Theyu yaree oaszx follows:
charge istrengtho eshalli onlyobe qusedre when ideclaringoq jare net iof otypeqtrireg .....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n

drive istrengtho eshalli onlyobe qusedre when iplacingoq jare continuous iassignment oonqa netz inu ythee osamezx statement that declares the net www.testbench.in




(Q i139)o ei Howoto qresolvere a itristateoq jdriverre in iVerilog o?

(Q i140)o ei WHAToARE qTHEre TYPES iOFoq jCHARGEre STRENGTHS?
Ans:


SMALL, iMEDIUM,o eLARGE



(Q i141)o eHowi toomodel qpowerre supply istrengthsoq jinre verilog?
Ans:


iTheo esupply0i andosupply1 qnetsre may ibeoq jusedre to imodel otheqpower suppliesz inu yae ocircuit.zx These nets shall have supply strengths. www.testbench.in





(Q i142)o eHowi toomodify qare parameter ivalue?
Ans:


A iparametero ecani beomodified qwithre the idefparamoq jstatementre or iin otheqmodule instancez statement.



(Q i143)o eWhati isolocalparam?
Ans: .....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n



local iparameter(s)o earei identicaloto qparametersre except ithatoq jtheyre can inot odirectlyqbe modifiedz withu ythee odefparamzx statement or by the ordered or named parameter value assignment.


www.testbench.in

(Q i144)o eHowi toomodify qre a ilocalparam?
Ans:


Local iparameterso ecani beoassigned qtore a iconstantoq jexpressionre containing ia oparameterqwhich canz beu ymodifiede owithzx the defparam statement or by the ordered or named parameter value assignment.


Parameter
io eWIDi =o3;
Localparam
iWIDTHo e=i 2*WID;



(Q i145)o eWHATi ISospecparam?
Ans: www.testbench.in



specparam ideclareso eai specialotype qofre parameter iwhichoq jisre intended ionly oforqproviding timingz andu ydelaye ovalues,zx but can appear in any expression that is not assigned to a parameter and is not part of the range specification of a declaration. Unlike a module parameter, a specify parameter cannot be modified from within the language, but it may be modified through SDF annotation



(Q i146)o ei Whatoare q>>>re and i<<<oq joperatorsre ?

(Q i147)o ewhati doesothe qfollowingre code imean?

Reg
i[22:0]o esig; .....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n


always@(|sig)
begin www.testbench.in

......
end

(Q i148)o eWhati isothe qfunctionre of iforceoq j&re release?
Ans:


io eForcei andorelease qstatementsre are iusedoq jtore override iassignments oonqboth registersz andu ynets.e oTheyzx are typically used in the interactive debugging process, where certain registers or nets are forced to a value and the effect on other registers and nets is noted.
They ishouldo eoccuri onlyoin qsimulationre block.



(Q i149)o eWhati isothe qpurposere of ideclaringoq jtasksre or ifunctions oasqautomatic?
Ans: www.testbench.in



io ei o qre ioq jDeclarationre of itasks oandqfunctions asz Automaticu ywille ocreatezx dynamic storage for each task or function call.



(Q i150)o eWhati isoSynthesis?
Ans:


Synthesis iiso ethei stageoin qthere design iflowoq jwhichre is iconcerned owithqtranslating yourz Verilogu ycodee ointozx gates - and that's putting it very simply! First of all, the Verilog must be written in a particular way for the synthesis tool that you are using. Of course, a synthesis tool doesn't actually produce gates - it will output a netlist of the design that you have synthesized that represents the chip which can be fabricated through an ASIC or FPGA vendor.


.....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n
Index
Functional Verification Questions
Functional Verification Questions 2
Test Your Systemverilog Skills 1
Test Your Systemverilog Skills 2
Test Your Systemverilog Skills 3
Test Your Systemverilog Skills 4
Test Your Sva Skills
Test Your Verilog Skills 1
Test Your Verilog Skills 2
Test Your Verilog Skills 3
Test Your Verilog Skills 4
Test Your Verilog Skills 5
Test Your Verilog Skills 6
Test Your Verilog Skills 7
Test Your Verilog Skills 8
Test Your Verilog Skills 9
Test Your Verilog Skills 10
Test Your Verilog Skills 11
Test Your Verilog Skills 12
Test Your Verilog Skills 13
Test Your Verilog Skills 14
Test Your Verilog Skills 15
Test Your Verilog Skills 16
Test Your Verilog Skills 17
Test Your Specman Skills 1
Test Your Specman Skills 2
Test Your Specman Skills 3
Test Your Specman Skills 4
Test Your Sta Skills 1
Test Your Sta Skills 2
Test Your Sta Skills 3
Test Your Sta Skills 4
Test Your Sta Skills 5
Test Your Sta Skills 6
Test Your Sta Skills 7
Test Your Dft Skills 1
Test Your Dft Skills 2
Test Your Dft Skills 3
Test Your Dft Skills 4
Test Your Uvm Ovm Skills

Report a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!





<< PREVIOUS PAGE

TOP

NEXT PAGE >>

copyright © 2007-2017 :: all rights reserved www.testbench.in::Disclaimer