Code Browser Pages:
Files in
vmm_switch_4.tar



filelist
Current file: Globals.sv
interface.sv
Packet.sv
README.txt



////////////////////////////////////////////////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////s           www.testbench.in           s////
////s                                      s////
////s             VMM Tutorial             s////
////s           gopi@testbench.in          s////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////////////////////////////////////////////////
`ifndef GUARD_GLOBALS
`define GUARD_GLOBALS


`define P0 8'h00
`define P1 8'h11
`define P2 8'h22
`define P3 8'h33

`endif