Code Browser Pages:
Files in
uvm_tlm_4.tar



consumer.sv
env.sv
Makefile
Current file: producer.sv
README.txt



////////////////////////////////////////////////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////s           www.testbench.in           s////
////s                                      s////
////s             UVM Tutorial             s////
////s           gopi@testbenh.in           s////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////////////////////////////////////////////////

  class producer extends uvm_component;

    uvm_blocking_put_port#(int) put_port;

    function new(string name, uvm_component p = null);
      super.new(name,p);
      put_port = new("put_port", this);

    endfunction

    task run;

      int randval;

      for(int i = 0; i < 10; i++)
        begin
          #10;
           randval = $urandom_range(4,10);
          `uvm_info("producer", $sformatf("sending   0",randval), UVM_MEDIUM)
          put_port.put(randval);
        end
    endtask

  endclass : producer