Code Browser Pages:
Files in
uvm_tlm_4.tar



consumer.sv
Current file: env.sv
Makefile
producer.sv
README.txt



////////////////////////////////////////////////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////s           www.testbench.in           s////
////s                                      s////
////s             UVM Tutorial             s////
////s           gopi@testbenh.in           s////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////////////////////////////////////////////////
`include "uvm.svh"
 import uvm_pkg::*;

  `include "consumer.sv"
  `include "producer.sv"

  class env extends uvm_env;
    producer p;
    consumer c;
    uvm_tlm_fifo #(int) f;

    function new(string name = "env");
      super.new(name);
      p = new("producer", this);
      c = new("consumer", this);
      f = new("fifo", this);
    endfunction

    function void connect();
      p.put_port.connect(f.put_export);
      c.get_port.connect(f.get_export);
    endfunction

    task run();
      #1000 global_stop_request();
    endtask

  endclass


module test;
  env e;

  initial begin
    e = new();
    run_test();
  end

endmodule