Code Browser Pages:
Files in
uvm_tlm_4.tar



Current file: consumer.sv
env.sv
Makefile
producer.sv
README.txt



////////////////////////////////////////////////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////s           www.testbench.in           s////
////s                                      s////
////s             UVM Tutorial             s////
////s           gopi@testbenh.in           s////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////////////////////////////////////////////////

  class consumer extends uvm_component;

    uvm_blocking_get_port#(int) get_port;

    function new(string name, uvm_component p = null);
      super.new(name,p);
      get_port = new("get_port", this);
    endfunction

    task run;

      int val;

      forever
        begin
          get_port.get(val);
          `uvm_info("consumer", $sformatf("receiving 0", val), UVM_MEDIUM)
        end

    endtask

  endclass : consumer