Code Browser Pages:
Files in
uvm_tlm_4.tar



consumer.sv
env.sv
Current file: Makefile
producer.sv
README.txt



IFILES = consumer.sv  env.sv  producer.sv
FILES = env.sv
UVM_FLAGS = +incdir+${UVM_HOME}/src ${UVM_HOME}/src/uvm_pkg.sv
VCS_FLAGS = -sverilog +plusarg_save -timescale=1ns/1ns

vcs:    simv
        simv -l simv.log

simv:   ${FILES} ${IFILES}
        @if [ ! -e ${UVM_HOME}/src/uvm_pkg.sv ];then \
         echo "*******************************************************";\
         echo "Environemnt varible UVM_HOME is not set or points to incorrect path"; \
         echo "*******************************************************";\
         fi 
        vcs ${UVM_FLAGS} ${VCS_FLAGS} ${FILES}

questa: ${FILES} ${IFILES}
        @if [ ! -e ${UVM_HOME}/src/uvm_pkg.sv ];then \
         echo "*******************************************************";\
         echo "Environemnt varible UVM_HOME is not set or points to incorrect path"; \
         echo "*******************************************************";\
         fi 
        qverilog ${UVM_FLAGS} +incdir+. ${FILES} -R 

ius: ${FILES} ${IFILES}
        @if [ ! -e ${UVM_HOME}/src/uvm_pkg.sv ];then \
         echo "*******************************************************";\
         echo "Environemnt varible UVM_HOME is not set or points to incorrect path"; \
         echo "*******************************************************";\
         fi 
        irun ${UVM_FLAGS} +incdir+. ${FILES} 


DIR = $(shell basename `pwd`)

tar:    clean
        cd ..; \
        tar cvf ${DIR}.tar ${DIR}

clean:
        @rm -rf simv* csrc* *.log *.key core* *.vpd *.vcd 
        @rm -rf *~ .vcsmx_rebuild vc_hdrs.h DVEfiles
        @rm -rf work vsim.wlf INCA_libs