Code Browser Pages:
Files in
uvm_tlm_3.tar



env.sv
Makefile
monitor.sv
README.txt
sequence_item.sv
Current file: subscriber.sv



////////////////////////////////////////////////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////s           www.testbench.in           s////
////s                                      s////
////s             UVM Tutorial             s////
////s           gopi@testbenh.in           s////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////////////////////////////////////////////////

  class subscriber extends uvm_subscriber#(instruction);


    function new(string name, uvm_component p = null);
        super.new(name,p);
    endfunction

    function void write(instruction t);
       `uvm_info(get_full_name(), $sformatf("receiving ",t.inst.name()), UVM_MEDIUM)
    endfunction

  endclass : subscriber