Code Browser Pages:
| //////////////////////////////////////////////// ////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s//// ////s www.testbench.in s//// ////s s//// ////s UVM Tutorial s//// ////s gopi@testbenh.in s//// ////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s//// //////////////////////////////////////////////// class consumer extends uvm_component; uvm_blocking_put_imp#(instruction,consumer) put_port; function new(string name, uvm_component p = null); super.new(name,p); put_port = new("put_port", this); endfunction task put(instruction t); `uvm_info("consumer", $sformatf("receiving ",t.inst.name()), UVM_MEDIUM) //push the transaction into queue or array //or drive the transaction to next level //or drive to interface endtask endclass : consumer |