Code Browser Pages:
Files in
uvm_factory.tar



agent.sv
driver.sv
env.sv
Makefile
monitor.sv
README.txt
test_factory.sv
test.sv
Current file: top.sv



////////////////////////////////////////////////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////s           www.testbench.in           s////
////s                                      s////
////s              UVM Tutorial            s////
////s                                      s////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////////////////////////////////////////////////

`include "uvm.svh"
 import uvm_pkg::*;

`include "driver.sv"
`include "monitor.sv"
`include "agent.sv"
`include "env.sv"
`include "test.sv"
`include "test_factory.sv"

module top;

  initial
    run_test();

endmodule