Code Browser Pages:
Files in
ovm_configuration_2.tar



agent.sv
driver.sv
env.sv
filelist
Current file: monitor.sv
README.txt
test.sv
top.sv



////////////////////////////////////////////////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////s           www.testbench.in           s////
////s                                      s////
////s              OVM Tutorial            s////
////s                                      s////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////////////////////////////////////////////////

class monitor extends ovm_monitor;

integer int_cfg;
string  str_cfg;

     `ovm_component_utils(monitor)

     function new(string name, ovm_component parent);
         super.new(name, parent);
     endfunction

     function void build();
         super.build();
         void'(get_config_int("int_cfg",int_cfg));
         void'(get_config_string("str_cfg",str_cfg));
         ovm_report_info(get_full_name(),$psprintf("int_cfg 0 : str_cfg  ",int_cfg,str_cfg),OVM_LOW);
     endfunction

endclass